I'm looking for constraints (xdc) files for the Mini-ITX platform (7045). Some of the reference designs contain xdc files, but I haven't found one that shows the assignments to the FMC signals.
Mini-ITX xdc (constraints) files
Mini-ITX heatsink
How is the heatsink attached on the mini-itx board? Is the fan loud? Can it be removed and replaced by something else if desired?
SFP
I'm not familar with SFP, is it possible to connect two Zedboards with SFP connectors together with a direct connect cable such as this?
http://www.cablesondemand.com/product/SF-SFPP2EPASS-003/URvars/Items/Lib...
Do the cables have crossovers in them, as it looks like the IOs are fixed for RX and TX mode.
This would be to implement FPGA to FPGA communication via something like the AXI Chip2Chip IP and the Aurora IP. (Not necessarily implementing the SFP protocol, just using the serial pairs)
Thanks,
Jeff
Qt based design for UI with Touch Screen Monitor?
I have Mini-ITX 7045 and 7-inch touch screen monitor from AVNET. I was intending to use touch screen monitor for UI to control my FPGA application.
I have already compiled Display Kit Demo and run it with my board and monitor. However, how do I use Qt for designing my GUI?
I have seen from several examples on Xilinx wiki that it is possible to use Qt to develop GUI environment.
http://www.wiki.xilinx.com/Zynq-7000+AP+SoC+Software+Acceleration
Is it possible only through use of PetaLinux? (I am running Xilinx Vivado and tools on Windows at this moment) Or can it be done without having to use PetaLinux, for example using Xilinx SDK?
PS not responding
Hi,
I am trying to run a simple helloworld+led-blink application on the Mini-ITX. I have tried JTAG, QSPI, and SD Card configuration, with varying results.
Using JTAG, I am able to program the PL, but the PS does not print "hello world" over UART, and the GPIO-controlled LEDs do not blink.
Using QSPI, the PL never gets programmed and the PS also does not run my helloworld app.
Using SD Card, the PL gets programmed, but the PS does not run my helloworld app.
Everything works perfect when I program the Mini-ITX with the provided .mcs and .bit files from the "zynq_mini_itx_7z045_embedded_design_v2015_2" project.
Furthermore, I can successfully run a helloworld app on the standard Zedboard, but not on my Mini-ITX board.
I can't figure out why the Zedboard works fine but the Mini-ITX is having this problem. For each of these boards, I have used the correct board files and constraint files. I am running Vivado 2015.4.
Can anybody provide some troubleshooting steps I can take?
Thank you
Voltage level at FMC Pins
Hi everyone,
I'm currently trying to get a 3.3V output level at some of the FMC pins.
Using a scope I figured out, that also in the unloaded case I only get ~2.5V. My configuration for single ended signals in the xdc file is th following:
set_property PACKAGE_PIN AC16 [get_ports test]
set_property IOSTANDARD LVCMOS33 [get_ports test]
#set_property DRIVE 16 [get_ports test]
set_property PULLUP true [get_ports test]
Are there differences in the max ouput voltage between the different banks?
Do I need to do something more of implementation/configuration/... to ensure the ability of 3.3V output?
Thanks for any hints
Kris
PCIe problems when using USB 3.0 cards
Hi,
I'm trying to get USB 3.0 running through the PCIe slot. I have been using two different USB 3.0 cards, one with a NEC and one with a VLI chip, but have experienced (different) problems with each. My hardware design very closely matches the PCIe reference design, and I'm running a small Linux system based on the Xilinx kernel v2015.4.01 (Linux 4.0.0).
The PCI bridge is correctly detected at boot, as is the USB PCIe card. USB devices that are plugged-in are detected and some actually seem to work without problems. I tested a USB 3.0 flash drive which seemed to work fine with both cards, and gets a read speed of 55 MB/s.
Problems seem to occur when I use devices with higher data rates. I have two different USB3 cameras from different manufacture. Both work well when connected to the USB2 ports, or to a USB3 port on a Linux PC. When connected to one of the PCIe cards I experience the following problems
NEC Card
========
For the first camera, reading and writing the camera settings work but when starting the image transfer I see the following errors:
usb 2-2: usbfs: process 9843 did not claim interface 2 before use
usb 2-1: usbfs: process 9843 did not claim interface 2 before use
xhci_hcd 0000:01:00.0: WARN Successful completion on short TX: needs XHCI_TRUST_TX_LENGTH quirk?
The other camera works when configured to small image sizes, but the frame rate drastically drops when transferring large images (like 1 frame per second)
VLI Card
========
The first camera doesn't work at all (not even reading / writing settings). No error is logged, only read-errors from the user space application.
The second camera again works well with small image sizes, but when configured to larger sizes, I receive USB disconnects and re-connects:
usb 2-2: USB disconnect, device number 5
usb 2-2: new SuperSpeed USB device number 8 using xhci_hcd
usb 2-2: New USB device found, idVendor=1409, idProduct=3240
usb 2-2: New USB device strings: Mfr=1, Product=2, SerialNumber=0
usb 2-2: Product: USB 3.0 Camera
usb 2-2: Manufacturer: Camera Manufacturer
======
So, I suppose there is a compatibility problem with the PCI-bridge and the USB3 cards when transferring high-bandwidth data. I receive some PCI errors during boot which might be related. Here are all PCI-related kernel messages:
xilinx-pcie 50000000.axi-pcie: PCIe Link is UP
PCI host bridge /amba/axi-pcie@50000000 ranges:
No bus range found for /amba/axi-pcie@50000000, using [bus 00-ff]
MEM 0x60000000..0x6fffffff -> 0x60000000
xilinx-pcie 50000000.axi-pcie: PCI host bridge to bus 0000:00
pci_bus 0000:00: root bus resource [bus 00-ff]
pci_bus 0000:00: root bus resource [mem 0x60000000-0x6fffffff]
PCI: bus0: Fast back to back transfers disabled
pci 0000:00:00.0: bridge configuration invalid ([bus 00-00]), reconfiguring
PCI: bus1: Fast back to back transfers disabled
pci 0000:00:00.0: BAR 0: no space for [mem size 0x40000000]
pci 0000:00:00.0: BAR 0: failed to assign [mem size 0x40000000]
pci 0000:00:00.0: BAR 8: assigned [mem 0x60000000-0x600fffff]
pci 0000:01:00.0: BAR 0: assigned [mem 0x60000000-0x60001fff 64bit]
pci 0000:00:00.0: PCI bridge to [bus 01]
pci 0000:00:00.0: bridge window [mem 0x60000000-0x600fffff]
pci 0000:00:00.0: enabling device (0140 -> 0142)
pci 0000:01:00.0: enabling device (0140 -> 0142)
I couldn't really fix the memory assignment error. However, the messages seem to match the logs posted by other users. For completion, the USB related messages are as follows:
xhci_hcd 0000:01:00.0: xHCI Host Controller
xhci_hcd 0000:01:00.0: new USB bus registered, assigned bus number 1
xhci_hcd 0000:01:00.0: hcc params 0x014051cf hci version 0x100 quirks 0x00000090
usb usb1: New USB device found, idVendor=1d6b, idProduct=0002
usb usb1: New USB device strings: Mfr=3, Product=2, SerialNumber=1
usb usb1: Product: xHCI Host Controller
usb usb1: Manufacturer: Linux 4.0.0-xilinx xhci-hcd
usb usb1: SerialNumber: 0000:01:00.0
hub 1-0:1.0: USB hub found
hub 1-0:1.0: 2 ports detected
xhci_hcd 0000:01:00.0: xHCI Host Controller
xhci_hcd 0000:01:00.0: new USB bus registered, assigned bus number 2
usb usb2: New USB device found, idVendor=1d6b, idProduct=0003
usb usb2: New USB device strings: Mfr=3, Product=2, SerialNumber=1
usb usb2: Product: xHCI Host Controller
usb usb2: Manufacturer: Linux 4.0.0-xilinx xhci-hcd
usb usb2: SerialNumber: 0000:01:00.0
hub 2-0:1.0: USB hub found
hub 2-0:1.0: 2 ports detected
ehci_hcd: USB 2.0 'Enhanced' Host Controller (EHCI) Driver
ehci-pci: EHCI PCI platform driver
usbcore: registered new interface driver usb-storage
Also, the relevant section of the device tree is as follows:
pci_express: axi-pcie@50000000 {
#address-cells = <3>;
#size-cells = <2>;
#interrupt-cells = <1>;
compatible = "xlnx,axi-pcie-host-1.00.a";
reg = < 0x50000000 0x04000000 >;
device_type = "pci";
interrupts = < 0 33 4 >;
interrupt-map-mask = <0 0 0 7>;
interrupt-map = <0 0 0 1 &pcie_intc 1>,
<0 0 0 2 &pcie_intc 2>,
<0 0 0 3 &pcie_intc 3>,
<0 0 0 4 &pcie_intc 4>;
ranges = < 0x02000000 0 0x60000000 0x60000000 0 0x10000000 >;
pcie_intc: interrupt-controller {
interrupt-controller;
#address-cells = <0>;
#interrupt-cells = <1>;
};
};
I know that the interrupt number is a bit odd, but that is correct (I have a few more things connected to IRQ_F2P). The interrupt is called, as I can see in /proc/interrupts.
I haven't yet tried any other PCIe cards, as I don't have any lying around. I'm really out of ideas on this problem by now. Any suggestions would be greatly welcome.
Thanks!
ALI3 Display Reference Design error
Hi,
I am attempting to follow the tutorial for the Zynq Mini-ITX (MITX): ALI3 Display Reference Design.
However, running the script make_mitx_ali3_sharp7.tcl generates a seemingly "empty" project. The resulting block diagram has no IP blocks and is comletely empty. The wrapper file causes an error due to its lack of ports:
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity mitx_ali3_sharp7_wrapper is
end mitx_ali3_sharp7_wrapper;
architecture STRUCTURE of mitx_ali3_sharp7_wrapper is
component mitx_ali3_sharp7 is
end component mitx_ali3_sharp7;
begin
mitx_ali3_sharp7_i: component mitx_ali3_sharp7
port map (
);
end STRUCTURE;
What could be causing this reference design to not generate properly?
Thank you
Cable drivers to detect Mini-ITX on Ubuntu workstation?
I am using Ubuntu 14.04 as my workstation for working with AVNET Mini-ITX 7z100 board.
I was trying to boot prebuilt image example for Mini-ITX 7z100 board via jtag (petalinux-boot --jtag), however I am getting an error stating that no supported FPGA device found. I guess it is because I don't have cable drivers (which I was able to install on Windows) properly configured.
How do I fix this error and be able to recognize Mini-ITX board?
//======================================================
Error in startup script:
No supported FPGA device found
invoked from within
"::tcf::eval -progress ::xsdb::print_progress {::tcf::cache_enter tcfchan#0 {tcf_cache_eval {process_tcf_actions_cache_client ::tcfclient#0::arg}}}"
(procedure "::tcf::cache_eval_with_progress" line 2)
invoked from within
"::tcf::cache_eval_with_progress [dict get $arg chan] [list process_tcf_actions_cache_client $argvar] $progress"
(procedure "process_tcf_actions" line 1)
invoked from within
"process_tcf_actions $arg ::xsdb::print_progress"
(procedure "fpga" line 350)
invoked from within
//======================================================
Do I need to install digilent drivers? (found in Xilinx/2015.2/xicom/cable_drivers/lin64/install_script/)
Mini-ITX Product Change Notices
Please subscribe to this thread if you would like to receive notifications of Product Change Notices (PCN) related to Mini-ITX.
Bryan
PS freezes when making use of PL
I am encountering very odd problems with my new Mini-ITX board. Whenever I make use of a significant portion of the PL resources, the PS just freezes.
I have done quite a lot of debugging on this, and the only thing that seems to affect this problem is the amount of resources used. I have a test design that does a lot of useless computations. If I allow Vivado to optimize away all the useless stuff and hence greatly reduce the resource usage, then the CPU does not freeze. If I prevent the optimization and use 56% LUTs, then the CPU freezes immediately.
There are no timing timing violations or other errors reported by Vivado. I have now come to a point where I suspect that my Zynq might actually be damaged.
I have created a set of boot files for reproducing this error on a Mini-ITX with Zynq 7100. The boot files can be downloaded here:
https://mega.nz/#F!9hF0kQrZ!RfGgoTnCO0QOtp6MSUFZxg
When booting this configuration, U-boot only manages to print a few lines on UART before the CPU stalls. Usually the output looks like this:
--------------
U-Boot 2015.07-dirty (Apr 09 2016 - 11:32:21 +0200)
Model: Zynq Mini-ITX Board
DRAM: ECC disabled 1 GiB
MMC: zynq_sdhci: 0
SF: Detected S25FL128S_64K with page size
--------------
Sometimes it even freezes before being able to print the first line. I would be really thankful if somebody could try out those boot files and report if this error also occurs on other Mini-ITX boards with Zynq 7100 SoCs.
Many thanks
Looking for defconfig for uboot and kernel
Hello,
Could someone please point me in the correct direction to find the u-boot defconfig and Linux defconfig files for the Mini-ITX XC7Z045 board? It does not appear they are in the Linux mainline or in the Xilinx OSL mainline.
configs within u-boot-xlnx mainline @ master:
-rw-rw-r-- 1 tduffy tduffy 210 Apr 19 12:29 configs/zynq_afx_nand_defconfig
-rw-rw-r-- 1 tduffy tduffy 289 Apr 19 12:29 configs/zynq_afx_nand_RSA_defconfig
-rw-rw-r-- 1 tduffy tduffy 179 Apr 19 12:29 configs/zynq_afx_nor_defconfig
-rw-rw-r-- 1 tduffy tduffy 258 Apr 19 12:29 configs/zynq_afx_nor_RSA_defconfig
-rw-rw-r-- 1 tduffy tduffy 369 Apr 19 12:29 configs/zynq_afx_qspi_defconfig
-rw-rw-r-- 1 tduffy tduffy 448 Apr 19 12:29 configs/zynq_afx_qspi_RSA_defconfig
-rw-rw-r-- 1 tduffy tduffy 399 Apr 19 12:29 configs/zynq_cc108_defconfig
-rw-rw-r-- 1 tduffy tduffy 268 Apr 19 12:29 configs/zynq_cse_nand_defconfig
-rw-rw-r-- 1 tduffy tduffy 266 Apr 19 12:29 configs/zynq_cse_nor_defconfig
-rw-rw-r-- 1 tduffy tduffy 1187 Apr 19 12:29 configs/zynq_cse_qspi_defconfig
-rw-rw-r-- 1 tduffy tduffy 544 Apr 19 12:29 configs/zynq_microzed_defconfig
-rw-rw-r-- 1 tduffy tduffy 342 Apr 19 12:29 configs/zynq_picozed_defconfig
-rw-rw-r-- 1 tduffy tduffy 675 Apr 19 12:29 configs/zynq_zc702_defconfig
-rw-rw-r-- 1 tduffy tduffy 697 Apr 19 12:29 configs/zynq_zc702_RSA_defconfig
-rw-rw-r-- 1 tduffy tduffy 590 Apr 19 12:29 configs/zynq_zc706_defconfig
-rw-rw-r-- 1 tduffy tduffy 612 Apr 19 12:29 configs/zynq_zc706_RSA_defconfig
-rw-rw-r-- 1 tduffy tduffy 676 Apr 19 12:29 configs/zynq_zc770_xm010_defconfig
-rw-rw-r-- 1 tduffy tduffy 608 Apr 19 12:29 configs/zynq_zc770_xm010_RSA_defconfig
-rw-rw-r-- 1 tduffy tduffy 440 Apr 19 12:29 configs/zynq_zc770_xm011_defconfig
-rw-rw-r-- 1 tduffy tduffy 297 Apr 19 12:29 configs/zynq_zc770_xm011_RSA_defconfig
-rw-rw-r-- 1 tduffy tduffy 410 Apr 19 12:29 configs/zynq_zc770_xm012_defconfig
-rw-rw-r-- 1 tduffy tduffy 342 Apr 19 12:29 configs/zynq_zc770_xm012_RSA_defconfig
-rw-rw-r-- 1 tduffy tduffy 596 Apr 19 12:29 configs/zynq_zc770_xm013_defconfig
-rw-rw-r-- 1 tduffy tduffy 394 Apr 19 12:29 configs/zynq_zc770_xm013_RSA_defconfig
-rw-rw-r-- 1 tduffy tduffy 534 Apr 19 12:29 configs/zynq_zed_defconfig
-rw-rw-r-- 1 tduffy tduffy 518 Apr 19 12:29 configs/zynq_zed_RSA_defconfig
-rw-rw-r-- 1 tduffy tduffy 594 Apr 19 12:29 configs/zynq_zybo_defconfig
configs within linux-xlnx mainline @ master:
-rw-rw-r-- 1 tduffy tduffy 5721 Apr 19 12:31 arch/arm/configs/xilinx_zynq_defconfig
I suspect that using the xilinx_zynq_defconfig is fine for the time being as a base-line. I don't think that is my issue. I do, however, have a problem where I can not get u-boot to boot correctly. I suspect this is due to not having the correct defconfig.
Any support is greatly appreciated.
Thanks,
-TD
HDMI design for Vivado 2015.2 or .4?
Is there reference design for HDMI with Vivado 2015.2 or 2015.4?
When I convert code from 2014.4, the petalinux image only boots until DMA messages.
Keyboard has no response
Hi,
I successfully booted my Mini ITX with Avnet_Mini-ITX_7z100_2015_2_1_BSP and Zynq_Mini-ITX_7100_PetaLinux from the SD card. Unfortunately, the key presses from my keyboard are not being received by the PetaLinux promt. I am using kermit on Ubuntu 14.04LTS. Here is my kermit configuration file:
set line /dev/ttyUSB0
set speed 115200
set flow control none
set carrier-watch off
connect
Please let me know if anyone has advice to solve this issue.
Thank you.
Board Definition Files for Vivado 2014.3
I am using Vivado 2014.3 and I did not see the Board Definition Files for that version. Can either the 2014.2 or the 2015.1 version be used? If not can you provide the files for Vivado 2014.3?
Thanks,
Rouben
Kernel panic - failure to boot petalinux
I am using Mini-ITX board together with Vivado 2015.2.1 and petalinux-v2015.2.1-final.
When I am trying to build a HDMI reference design from analogdevices, together with their hdl, kernel and device tree (for Mini-ITX board), I am getting following kernel panic error.
https://github.com/analogdevicesinc/linux/tree/xcomm_zynq (I am using xcomm_zynq branch)
https://github.com/analogdevicesinc/hdl
=====================
cpuidle: using governor menu
hw-breakpoint: found 5 (+1 reserved) breakpoint and 1 watchpoint registers.
hw-breakpoint: maximum watchpoint size is 4 bytes.
zynq-ocm f800c000.ocmc: ZYNQ OCM pool: 256 KiB @ 0xf0880000
SCSI subsystem initialized
usbcore: registered new interface driver usbfs
usbcore: registered new interface driver hub
usbcore: registered new device driver usb
media: Linux media interface: v0.10
Linux video capture interface: v2.00
EDAC MC: Ver: 3.0.0
Advanced Linux Sound Architecture Driver Initialized.
clocksource: Switched to clocksource arm_global_timer
NET: Registered protocol family 2
TCP established hash table entries: 8192 (order: 3, 32768 bytes)
TCP bind hash table entries: 8192 (order: 4, 65536 bytes)
TCP: Hash tables configured (established 8192 bind 8192)
UDP hash table entries: 512 (order: 2, 16384 bytes)
UDP-Lite hash table entries: 512 (order: 2, 16384 bytes)
NET: Registered protocol family 1
hw perfevents: enabled with armv7_cortex_a9 PMU driver, 7 counters available
futex hash table entries: 512 (order: 3, 32768 bytes)
bounce: pool size: 64 pages
io scheduler noop registered
io scheduler deadline registered
io scheduler cfq registered (default)
Error: Driver 'mwipcore' is already registered, aborting...
dma-pl330 f8003000.dmac: Loaded driver for PL330 DMAC-241330
dma-pl330 f8003000.dmac: DBUFF-128x8bytes Num_Chans-8 Num_Peri-4 Num_Events-16
xilinx-vdma 43000000.axivdma: missing xlnx,flush-fsync property
Unhandled fault: imprecise external abort (0x406) at 0x00000000
pgd = c0004000
[00000000] *pgd=00000000
Internal error: : 406 [#1] PREEMPT SMP ARM
Modules linked in:
CPU: 0 PID: 1 Comm: swapper/0 Not tainted 4.4.0-g10f6f5c-dirty #2
Hardware name: Xilinx Zynq Platform
task: ef0289c0 ti: ef04c000 task.ti: ef04c000
PC is at xilinx_vdma_chan_reset+0x20/0x14c
LR is at xilinx_vdma_probe+0x35c/0x494
pc : [<c01e2dac>] lr : [<c01e33f0>] psr: 60000013
sp : ef04de30 ip : 00000000 fp : 00000001
r10: ef2f2620 r9 : ef7f3070 r8 : ef0e8010
r7 : ef7f32c4 r6 : 00000000 r5 : ef2f2f10 r4 : ef2f2f10
r3 : f085e000 r2 : 00000000 r1 : c01e2cbc r0 : ef2f2f10
Flags: nZCv IRQs on FIQs on Mode SVC_32 ISA ARM Segment none
Control: 18c5387d Table: 0000404a DAC: 00000051
Process swapper/0 (pid: 1, stack limit = 0xef04c210)
Stack: (0xef04de30 to 0xef04e000)
de20: ef2f2f10 c01e2cbc ef2f2f4c ef2f2610
de40: ef2f2f10 00000000 ef7f32c4 c01e33f0 c05d0edb ef2f2f10 00000003 00000040
de60: c0dd6200 ffffffed ef0e8010 c0da9408 c0da9408 00000000 c0dd6200 c06a183c
de80: 00000000 c023d2c8 ef0e8010 00000000 c0df16fc c023bb1c ef0e8010 ef0e8044
dea0: c0da9408 c0dae1d8 c0d9e760 c023bd08 00000000 c0da9408 c023bca0 c023a354
dec0: ef00d75c ef098fb4 c0da9408 00000000 ef258680 c023b2e8 c05d0f94 c05d0f95
dee0: 00000000 c0da9408 c068b3dc 00000000 c0d9e760 c023c4ec c023d20c ef2cef40
df00: c068b3dc c00097c0 00000000 c05d99be 000000a4 efffc1d6 00000000 ef04df38
df20: 00000063 c00395f4 000000a3 efffc209 c064c83c c0039548 c064bb80 00000006
df40: 00000006 c064c850 000000a3 c064c850 c0da16fc 00000006 c06a1830 00000006
df60: c06a1834 000000a4 c06acf98 c0dd6200 c0dd6200 c0677d8c 00000006 00000006
df80: 00000000 c067758c 00000000 c04609b0 00000000 00000000 00000000 00000000
dfa0: 00000000 c04609b8 00000000 c000ee78 00000000 00000000 00000000 00000000
dfc0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000
dfe0: 00000000 00000000 00000000 00000000 00000013 00000000 01109120 40280111
[<c01e2dac>] (xilinx_vdma_chan_reset) from [<c01e33f0>] (xilinx_vdma_probe+0x35c/0x494)
[<c01e33f0>] (xilinx_vdma_probe) from [<c023d2c8>] (platform_drv_probe+0x50/0xa0)
[<c023d2c8>] (platform_drv_probe) from [<c023bb1c>] (driver_probe_device+0x110/0x294)
[<c023bb1c>] (driver_probe_device) from [<c023bd08>] (__driver_attach+0x68/0x8c)
[<c023bd08>] (__driver_attach) from [<c023a354>] (bus_for_each_dev+0x6c/0x90)
[<c023a354>] (bus_for_each_dev) from [<c023b2e8>] (bus_add_driver+0xc8/0x1e4)
[<c023b2e8>] (bus_add_driver) from [<c023c4ec>] (driver_register+0x9c/0xe0)
[<c023c4ec>] (driver_register) from [<c00097c0>] (do_one_initcall+0x100/0x1b4)
[<c00097c0>] (do_one_initcall) from [<c0677d8c>] (kernel_init_freeable+0x11c/0x1e4)
[<c0677d8c>] (kernel_init_freeable) from [<c04609b8>] (kernel_init+0x8/0xe4)
[<c04609b8>] (kernel_init) from [<c000ee78>] (ret_from_fork+0x14/0x3c)
Code: e5933000 e0833002 e5936000 f57ff04f (e5903000)
---[ end trace dfe828fcb04ee434 ]---
Kernel panic - not syncing: Attempted to kill init! exitcode=0x0000000b
CPU1: stopping
CPU: 1 PID: 0 Comm: swapper/1 Tainted: G D 4.4.0-g10f6f5c-dirty #2
Hardware name: Xilinx Zynq Platform
[<c001586c>] (unwind_backtrace) from [<c00125a0>] (show_stack+0x10/0x14)
[<c00125a0>] (show_stack) from [<c01a28ec>] (dump_stack+0x80/0xcc)
[<c01a28ec>] (dump_stack) from [<c00140cc>] (ipi_cpu_stop+0x3c/0x6c)
[<c00140cc>] (ipi_cpu_stop) from [<c00147e4>] (handle_IPI+0x64/0x84)
[<c00147e4>] (handle_IPI) from [<c00093e8>] (gic_handle_irq+0x74/0x90)
[<c00093e8>] (gic_handle_irq) from [<c0012fd4>] (__irq_svc+0x54/0x90)
Exception stack(0xef06bf98 to 0xef06bfe0)
bf80: 00000001 00000000
bfa0: 00000000 c001d3a0 00000000 ef06a000 00000000 ef06bff0 c04677b0 413fc090
bfc0: 00000000 00000000 00000000 ef06bfe8 c000f880 c000f884 60000113 ffffffff
[<c0012fd4>] (__irq_svc) from [<c000f884>] (arch_cpu_idle+0x2c/0x38)
[<c000f884>] (arch_cpu_idle) from [<c0050b00>] (cpu_startup_entry+0x134/0x210)
[<c0050b00>] (cpu_startup_entry) from [<000094ac>] (0x94ac)
---[ end Kernel panic - not syncing: Attempted to kill init! exitcode=0x0000000b
Voltage level at FMC Pins
Hi everyone,
I'm currently trying to get a 3.3V output level at some of the FMC pins.
Using a scope I figured out, that also in the unloaded case I only get ~2.5V. My configuration for single ended signals in the xdc file is th following:
set_property PACKAGE_PIN AC16 [get_ports test]
set_property IOSTANDARD LVCMOS33 [get_ports test]
#set_property DRIVE 16 [get_ports test]
set_property PULLUP true [get_ports test]
Are there differences in the max ouput voltage between the different banks?
Do I need to do something more of implementation/configuration/... to ensure the ability of 3.3V output?
Thanks for any hints
Kris
help me with usb hub problem
In my board there is a usb hub device connected to the zynq PS usb controller, just like the mini-itx board.
The issue confused meis that when running the linux kernel(3.14), it can not find the usb hub device, just prinf words like this:
hub 1-0:1.0: USB hub found
hub 1-0:1.0: 1 port detected
when i input "lsusb" i can see "Device 001: ID 1d6b:0002 Linux Foundation 2.0 root hub ",but there should be usb hub device uunder root hub.
who can help me?
Output a port to one of J11 pins?
How do I output a port to one of J11 pins on Mini-ITX board?
I am generating a clock and few signals, and want to see it with Oscilloscope.
PL Ethernet through SFP
Hi!
Has anybody been able to use the SFP port with PL ethernet? I'm trying to replicate the design from XAPP1082. I've been closely following the provided example design and copied the device tree. I've set SW10 to OFF, OFF, and SW11 to OFF, OFF, ON, OFF, which to my understanding should provide the required 125 MHz. In the SFP slot I plugged a 1000Base-T RJ45 module from Delock.
Unfortunately it doesn't seem to work. When booting linux, I get the following output during boot:
xilinx_axienet a0500000.axi-ethernet: TX_CSUM 2
xilinx_axienet a0500000.axi-ethernet: RX_CSUM 2
libphy: Xilinx Axi Ethernet MDIO: probed
I think that looks fine. But if I'm trying to activate the network trough ifup, I get the following:
net eth1: Promiscuous mode disabled.
net eth1: Promiscuous mode disabled.
ip: RTNETLINK answers: File exists
xilinx_axienet a0500000.axi-ethernet eth1: Error setting Axi Ethernet mac speed
I guess that only the last message is an issue. I don't really know what could be the cause for this. I get the same results even when unplugging the SFP module. Are there any ways to narrow this problem down?
Thanks